返回首页
苏宁会员
购物车 0
易付宝
手机苏宁

服务体验

店铺评分与同行业相比

用户评价:----

物流时效:----

售后服务:----

  • 服务承诺: 正品保障
  • 公司名称:
  • 所 在 地:
本店所有商品

  • [醉染正版]数字模拟电子技术CMOS数字集成电路设计分析模拟电路版图艺术芯片制造半导体工艺制程半导体物理器件信号完整性分
  • 本店商品限购一件,多拍不予发货,感谢理解!
    • 作者: 靳孝峰著
    • 出版社: 电子工业出版社
    送至
  • 由""直接销售和发货,并提供售后服务
  • 加入购物车 购买电子书
    服务

    看了又看

    商品预定流程:

    查看大图
    /
    ×

    苏宁商家

    商家:
    醉染图书旗舰店
    联系:
    • 商品

    • 服务

    • 物流

    搜索店内商品

    商品参数
    • 作者: 靳孝峰著
    • 出版社:电子工业出版社
    • 开本:16开
    • ISBN:9787873535910
    • 版权提供:电子工业出版社

                   店铺公告

     

    为保障消费者合理购买需求及公平交易机会,避免因非生活消费目的的购买货囤积商品,抬价转售等违法行为发生,店铺有权对异常订单不发货且不进行赔付。异常订单:包括但不限于相同用户ID批量下单,同一用户(指不同用户ID,存在相同/临近/虚构收货地址,或相同联系号码,收件人,同账户付款人等情形的)批量下单(一次性大于5本),以及其他非消费目的的交易订单。

    温馨提示:请务必当着快递员面开箱验货,如发现破损,请立即拍照拒收,如验货有问题请及时联系在线客服处理,(如开箱验货时发现破损,所产生运费由我司承担,一经签收即为货物完好,如果您未开箱验货,一切损失就需要由买家承担,所以请买家一定要仔细验货),

    关于退货运费:对于下单后且物流已发货货品在途的状态下,原则上均不接受退货申请,如顾客原因退货需要承担来回运费,如因产品质量问题(非破损问题)可在签收后,联系在线客服。

    电路(第10版) 89
    数字电子技术(第十一版)   89
    数字集成电路——电路、系统与设计(第二版) 99
    CMOS数字集成电路——分析与设计(第四版)  119
    模拟电子技术(第二版) 89
    模拟电路版图的艺术(第二版) 119
    芯片制造——半导体工艺制程实用教程(第六版) 89
    半导体制造技术导论 (第二版) 69
    半导体物理与器件(第四版) 129
    信号完整性与电源完整性分析(第三版)  129

     

     

    电路(第10版)

    本书被IEEE“Spectrum”杂志称为“电路领域的经典之作”,是欧美“电路”课程采用最为广泛的教材。近些年国内引进了该教材,从该书的第六版开始,至今已经是第十版,国内读者反应良好,被认为是当前所见到的最好教材之一。全书共分18章,系统地讲述了电路的基本概念、基本理论、基本分析和计算方法。主要内容有电路基本元件、简单电阻电路分析、电路常见分析法、运算放大器基本应用电路、一阶和二阶动态电路的分析、正弦稳态分析及其功率计算、平衡三相电路、拉普拉斯变换及其应用、选频电路、有源滤波器、傅里叶级数及傅里叶变换、双端口网络等。书中每章内容均从现实生活中的实际应用展开,进行了详细的说明,列出了详尽的图表资料,安排了大量的例题、评测练习和习题,内容新颖,讲解透彻,非常适合于自学,是一本电路分析的优秀教材。

    目录

     

    第1章  电路变量

    1.1  电气工程概述

    1.2  国际单位制

    1.3  电路分析概述

    1.4  电压和电流

    1.5  理想基本电路元件

    1.6  功率和能量

    小结

    习题

    第2章  电路元件

    2.1  电压源和电流源

    2.2  电阻(欧姆定律)

    2.3  电路模型结构

    2.4  基尔霍夫定律

    2.5  含受控源电路的分析

    小结

    习题

    第3章  简单电阻电路

    3.1  电阻的串联

    3.2  电阻的并联

    3.3  分压器和分流器电路

    3.4  分压法和分流法

    3.5  测量电压和电流

    3.6  惠斯通电桥

    3.7  Δ-Y(π-T)等效电路

    小结

    习题

    第4章  电路分析法

    4.1  术语

    4.2  节点电压法

    4.3  节点电压法和非独立源

    4.4  节点电压法的特例

    4.5  网孔电流法

    4.6  网孔电流法和非独立源

    4.7  网孔电流法的特例

    4.8  节点电压法与网孔电流法的比较

    4.9  电源变换

    4.10戴维南与诺顿等效电路

    4.11导出戴维南等效电路的补充

    4.12最大功率传输

    4.13叠加原理

    小结

    习题

    第5章  运算放大器

    5.1  运算放大器端子

    5.2  端电压和端电流

    5.3  反相放大器电路

    5.4  求和放大器电路

    5.5  同相放大器电路

    5.6  差分放大器电路

    5.7  实际的运算放大器模型

    小结

    习题

    ......

     

    数字电子技术(第十一版)  

    本书是一本关于数字电子技术的经典教材,并根据国内教学的实际情况对内容进行了缩减。全书主要介绍了数字电子技术的基本概念、数字系统、逻辑门、布尔代数和逻辑化简、组合逻辑分析、组合逻辑电路函数、触发器、移位寄存器、计数器、数据存储、数字信号处理、数据传输、集成电路技术等。全书的特色在于示例与练习丰富、图解清晰、写作风格简约。

     

    目 录
    第1章  基本概念 1
    1.1  数字量与模拟量 1
    1.1.1  模拟电子系统 2
    1.1.2  使用数字方法与模拟方法的
           系统 2
    1.1.3  机电一体化 2
    1.2  二进制数、逻辑电平和数字
           波形 3
    1.2.1  二进制数 3
    1.2.2  逻辑电平 4
    1.2.3  数字波形 4
    1.2.4  数字波形携带二进制信息 6
    1.2.5  数据传输 7
    1.3  固定功能的逻辑设备 8
    1.3.1  集成电路的封装 9
    1.3.2  引脚编号 10
    1.3.3  固定功能的集成电路的
          集成度分类 10
    判断题  11
    自测题  11
    习题  11
    答案 13
    第2章  数字系统、运算和编码 14
    2.1  十进制数 14
    2.2  二进制数 15
    2.2.1  二进制计数 15
    2.2.2  二进制数的加权结构 16
    2.2.3  二进制数到十进制数的转换 17
    2.3  十进制数到二进制数的转换 17
    2.3.1  权和的方法 17
    2.3.2  重复除以2的方法 18
    2.3.3  十进制小数转换为二进制数 19
    2.4  二进制算术 19
    2.4.1  二进制加法 19
    2.4.2  二进制减法 20
    2.4.3  二进制乘法 21
    2.4.4  二进制除法 21
    2.5  二进制数的反码和补码 22
    2.5.1  求二进制数的反码 22
    2.5.2  求二进制数的补码 22
    2.6  带符号数 23
    2.6.1  符号位 23
    2.6.2  符号数值形式 23
    2.6.3  反码形式 24
    2.6.4  补码形式 24
    2.6.5  带符号数的十进制值 24
    2.6.6  带符号整数的表示范围 26
    2.6.7  浮点数 26
    2.7  带符号数的算术运算 28
    2.7.1  加法 28
    2.7.2  减法 29
    2.7.3  乘法 30
    2.7.4  除法 32
    2.8  十六进制数 33
    2.8.1  十六进制计数 34
    2.8.2  二进制数到十六进制数
           的转换 34
    2.8.3  十六进制数到二进制数
           的转换 34
    2.8.4  十六进制数到十进制数
           的转换 35
    2.8.5  十进制数到十六进制数
           的转换 35
    2.8.6  十六进制加法 36
    2.8.7  十六进制减法 36
    2.9  八进制数 38
    2.9.1  八进制数到十进制数的转换 38
    2.9.2  十进制数到八进制数的转换 39
    2.9.3  八进制数到二进制数的转换 39
    2.9.4  二进制数到八进制数的转换 39
    2.10  二-十进制编码(BCD) 40
    2.10.1  8421 BCD码 40
    2.10.2  BCD码加法 41
    2.11  数字编码 42
    2.11.1  格雷码 42
    2.11.2  典型应用 44
    2.12  错误检测码 45
    2.12.1  错误检测的奇偶校验法 45
    2.12.2  循环冗余校验码(CRC) 46
    判断题  49
    自测题  49
    习题  50
    答案 54
    第3章  逻辑门 58
    3.1  反相器 58
    3.1.1  否定和极性指示 58
    3.1.2  反相器真值表 58
    3.1.3  反相器运算 59
    3.1.4  时序图 59
    3.1.5  反相器的逻辑表达式 59
    3.1.6  应用举例 60
    3.2  与门 60
    3.2.1  与门运算 61
    3.2.2  与门真值表 61
    3.2.3  具有波形输入的与门运算 62
    3.2.4  与门的逻辑表达式 64
    3.2.5  应用举例 64
    3.3  或门 66
    3.3.1  或门运算 66
    3.3.2  或门真值表 66
    3.3.3  具有波形输入的或门运算 66
    3.3.4  或门的逻辑表达式 68
    3.3.5  应用举例 69
    3.4  与非门 69
    3.4.1  与非门运算 70
    3.4.2  具有波形输入的与非门
           运算 70
    3.4.3  与非门的逻辑表达式 73
    3.5  或非门 73
    3.5.1  或非门运算 73
    3.5.2  具有波形输入的或非门
           运算 74
    3.5.3  或非门的逻辑表达式 76
    3.6  异或门和同或门 76
    3.6.1  异或门 76
    3.6.2  同或门 77
    3.6.3  具有波形输入的运算 78
    3.6.4  应用举例 79
    3.7  固定功能的逻辑门 79
    3.7.1  74系列逻辑门功能 79
    3.7.2  74系列逻辑电路 82
    3.7.3  工作特性和参数 85
    判断题  89
    自测题  89
    习题  90
    答案 93
    第4章  布尔代数和逻辑化简 97
    4.1  布尔运算和表达式 97
    4.1.1  布尔加法 97
    4.1.2  布尔乘法 98
    4.2  布尔代数的定律和法则 98
    4.2.1  布尔代数的定律 98
    4.2.2  布尔代数的法则 100
    4.3  德?摩根定理 103
    4.3.1  德?摩根定理的应用 105
    4.4  逻辑电路的布尔分析 106
    4.4.1  逻辑电路的布尔表达式 106
    4.4.2  构建逻辑电路的真值表 107
    4.5  使用布尔代数进行化简 108
    4.6  布尔表达式的标准形式 111
    4.6.1  乘积项之和(SOP)形式 111

    4.6.2  一般表达式向乘积项之和
           形式的转换 112
    4.6.3  最小项(标准乘积项)之和
           形式 113
    4.6.4  和项之乘积(POS)形式 114
    4.6.5  最大项(标准和项)之乘积
           形式 115
    4.6.6  把最小项之和转换为最大项
           之乘积 116
    ......

     

    数字集成电路——电路、系统与设计(第二版)

    本书由美国加州大学伯克利分校Jan M. Rabaey教授等人所著。全书共12章,分为三部分: 基本单元、电路设计和系统设计。本书在对MOS器件和连线的特性做了简要的介绍之后,深入分析了数字设计的核心——反相器,并逐步将这些知识延伸到组合逻辑电路、时序逻辑电路、控制器、运算电路以及存储器这些复杂数字电路与系统的设计中。为了反映数字集成电路设计进入深亚微米领域后正在发生的深刻变化,本书以CMOS工艺的实际电路为例,讨论了深亚微米器件效应、电路最优化、互连线建模和优化、信号完整性、时序分析、时钟分配、高性能和低功耗设计、设计验证、芯片测试和可测性设计等主题,着重探讨了深亚微米数字集成电路设计所面临的挑战和启示。

     

    目录

    第一部分  基 本 单 元

    第1章  引论

    1.1  历史回顾

    1.2  数字集成电路设计中的问题

    1.3  数字设计的质量评价

    1.3.1  集成电路的成本

    1.3.2  功能性和稳定性

    1.3.3  性能

    1.3.4  功耗和能耗

    1.4  小结

    1.5  进一步探讨

    期刊和会议论文集

    参考书目

    参考文献

    习题

    第2章  制造工艺

    2.1  引言

    2.2  CMOS集成电路的制造

    2.2.1  硅圆片

    2.2.3  一些重复进行的工艺步骤

    2.2.4  简化的CMOS工艺流程

    2.3  设计规则——设计者和工艺工程师之间的桥梁

    2.4  集成电路封装

    2.4.1  封装材料

    2.4.2  互连层

    2.4.3  封装中的热学问题

    2.5  综述: 工艺技术的发展趋势

    2.5.1  近期进展

    2.5.2  远期展望

    2.6  小结

    2.7  进一步探讨

    参考文献

    设计方法插入说明A——IC版图

    参考文献

    第3章  器件

    3.1  引言

    3.2  二极管

    3.2.1  二极管简介——耗尽区

    3.2.2  静态特性

    3.2.3  动态或瞬态特性

    3.2.4  实际的二极管——二次效应

    3.2.5  二极管SPICE模型

    3.3  MOS(FET)晶体管

    3.3.1  MOS晶体管简介

    3.3.2  静态情况下的MOS晶体管

    3.3.3  实际的MOS晶体管——一些二阶效应

    3.3.4  MOS管的SPICE模型

    3.4  关于工艺偏差

    3.5  综述: 工艺尺寸缩小

    3.6  小结

    3.7  进一步探讨

    参考文献

    习题

    设计方法插入说明B——电路模拟

    进一步探讨

    参考文献

    第4章  导线

    4.1  引言

    4.2  简介

    4.3  互连参数——电容、电阻和电感

    4.3.1  电容

    4.3.2  电阻

    4.3.3  电感

    4.4  导线模型

    4.4.1  理想导线

    4.4.2  集总模型(Lumped Model)

    4.4.3  集总RC模型

    4.4.4  分布rc线

    4.4.5  传输线

    4.5  导线的SPICE模型

    4.5.1  分布rc线的SPICE模型

    4.5.2  传输线的SPICE模型

    4.5.3  综述: 展望未来

    4.6  小结

    4.7  进一步探讨

    参考文献

    ......

     

    CMOS数字集成电路——分析与设计(第四版) 

    本书详细介绍CMOS数字集成电路的相关内容,为反映纳米级别CMOS技术的广泛应用和技术发展,全书在第三版的基础上对晶体管模型公式和器件参数进行了修正,几乎全部章节都进行了重写,提供了反映现代技术发展水平和集成电路设计的新资料。全书共15章,第1章至第8章详细讨论MOS晶体管的相关特性和工作原理、基本反相器电路设计、组合逻辑电路及时序逻辑电路的结构与工作原理;第9章至第13章主要介绍应用于先进VLSI芯片设计的动态逻辑电路、半导体存储器、低功耗CMOS逻辑电路、算术组合模块、时钟电路与输入/输出电路;最后两章分别讨论集成电路的产品化设计和可测试性设计这两个重要主题。

     

    目 录

    第1 章 概论  1

    1.1 发展历史  1

    1.2 本书的目标和结构  3

    1.3 电路设计举例  6

    1.4 VLSI 设计方法综述  12

    1.5 VLSI 设计流程  14

    1.6 设计分层  15

    1.7 规范化、模块化和本地化的概念  18

    1.8 VLSI 的设计风格  18

    1.8.1 现场可编程门阵列(FPGA)  19

    1.8.2 门阵列的设计  20

    1.8.3 基于标准单元的设计  23

    1.8.4 全定制设计  25

    1.9 设计质量  26

    1.9.1 可测试性  26

    1.9.2 成品率和可制造性  27

    1.9.3 可靠性  27

    1.9.4 技术升级能力  28

    1.10 封装技术  28

    ......

     

    模拟电子技术(第二版)

    本书内容包括半导体器件基础、二极管及其应用电路、晶体管和场效应管放大电路的基本原理及频率响应、功率放大电路、多级放大电路、差分放大电路、电流源等模拟集成电路的单元电路、反馈电路、模拟集成运算放大器、电压比较器和波形变换电路等。本书对原版教材进行了改编,精简了内容,突出了重点,补充了必要知识点,内容更加新颖和系统化,反映了器件和应用的发展趋势,强调了系统工程的概念。

    模拟电路版图的艺术(第二版)

    本书以实用和权威性的观点全面论述了模拟集成电路版图设计中所涉及的各种问题及目前的研究成果。书中介绍了半导体器件物理与工艺、失效机理等内容;基于模拟集成电路设计所采用的三种基本工艺:标准双极工艺、多晶硅栅CMOS工艺和模拟BiCMOS工艺;重点探讨了无源器件的设计与匹配性问题,二极管设计,双极型晶体管和场效应晶体管的设计与应用,以及某些专门领域的内容,包括器件合并、保护环、焊盘制作、单层连接、ESD结构等。最后介绍了有关芯片版图的布局布线知识。

    芯片制造——半导体工艺制程实用教程(第六版)

    本书是一本介绍半导体集成电路和器件制造技术的专业书, 在半导体领域享有很高的声誉。本书的讨论范围包括半导体工艺的每个阶段: 从原材料的制备到封装、 测试和成品运输, 以及传统的和现代的工艺。全书提供了详细的插图和实例, 并辅以小结和习题, 以及丰富的术语表。第六版修订了微芯片制造领域的新进展, 讨论了用于图形化、 掺杂和薄膜步骤的先进工艺和尖端技术, 使隐含在复杂的现代半导体制造材料与工艺中的物理、 化学和电子的基础信息更易理解。本书的主要特点是避开了复杂的数学问题介绍工艺技术内容, 并加入了半导体业界的新成果, 可以使读者了解工艺技术发展的趋势。

     

    目录

    第1章半导体产业

    1.1引言

    1.2一个产业的诞生

    1.3固态时代

    1.4集成电路

    1.5工艺和产品趋势

    1.6半导体产业的构成

    1.7生产阶段

    1.8微芯片制造过程发展的

    60年

    1.9纳米时代

    习题

    参考文献第2章半导体材料和化学品的特性

    2.1引言

    2.2原子结构

    2.3元素周期表

    2.4电传导

    2.5绝缘体和电容器

    2.6本征半导体

    2.7掺杂半导体

    2.8电子和空穴传导

    2.9半导体生产材料

    2.10半导体化合物

    2.11锗化硅

    2.12衬底工程

    2.13铁电材料

    2.14金刚石半导体

    2.15工艺化学品

    2.16物质的状态

    2.17物质的性质

    2.18压力和真空

    2.19酸、 碱和溶剂

    2.20化学纯化和清洗

    习题

    参考文献第3章晶体生长与硅晶圆制备

    3.1引言

    3.2半导体硅制备

    3.3晶体材料

    3.4晶体定向

    3.5晶体生长

    3.6晶体和晶圆质量

    3.7晶圆制备

    3.8切片

    3.9晶圆刻号

    3.10磨片

    3.11化学机械抛光

    3.12背面处理

    3.13双面抛光

    3.14边缘倒角和抛光

    3.15晶圆评估

    3.16氧化

    3.17包装

    3.18工程化晶圆(衬底)

    习题

    参考文献第4章晶圆制造和封装概述

    4.1引言

    4.2晶圆生产的目标

    4.3晶圆术语

    4.4芯片术语

    4.5晶圆生产的基础工艺

    4.6薄膜工艺

    4.7晶圆制造实例

    4.8晶圆中测

    4.9集成电路的封装

    4.10小结

    习题

    参考文献第5章污染控制

    5.1引言

    5.2污染源

    5.3净化间的建设

    5.4净化间的物质与供给

    5.5净化间的维护

    5.6晶圆表面清洗

    习题

    参考文献第6章生产能力和工艺良品率

    6.1引言

    6.2良品率测量点

    6.3累积晶圆生产良品率

    6.4晶圆生产良品率的制约因素

    6.5封装和最终测试良品率

    6.6整体工艺良品率

    习题

    参考文献第7章氧化

    ......

     

    半导体制造技术  

    本书共包括15章: 第1章概述了半导体制造工艺; 第2章介绍了基本的半导体工艺技术; 第3章介绍了半导体器件、 集成电路芯片, 以及早期的制造工艺技术; 第4章描述了晶体结构、 单晶硅晶圆生长, 以及硅外延技术; 第5章讨论了半导体工艺中的加热过程;第6章详细介绍了光学光刻工艺;第7章讨论了半导体制造过程中使用的等离子体理论; 第8章讨论了离子注入工艺; 第9章详细介绍了刻蚀工艺; 第10章介绍了基本的化学气相沉积(CVD)和电介质薄膜沉积工艺, 以及多孔低k电介质沉积、气隙的应用、 原子层沉积(ALD)工艺过程; 第11章介绍了金属化工艺; 第12章讨论了化学机械研磨(CMP)工艺; 第13章介绍了工艺整合; 第14章介绍了先进的CMOS、 DRAM和NAND闪存工艺流程; 第15章总结了本书和半导体工业未来的发展。

    半导体物理与器件(第四版)

    本书是微电子技术领域的基础教程。全书涵盖了量子力学、固体物理、半导体材料物理及半导体器件物理等内容,分成三部分,共计15章。第一部分为半导体材料属性,主要讨论固体晶格结构、量子力学、固体量子理论、平衡半导体、输运现象、半导体中的非平衡过剩载流子;第二部分为半导体器件基础,主要讨论pn结、pn结二极管、金属半导体和半导体异质结、金属氧化物半导体场效应晶体管、双极晶体管、结型场效应晶体管;第三部分为专用半导体器件,主要介绍光器件、半导体微波器件和功率器件等。书中既讲述了半导体基础知识,也分析讨论了小尺寸器件物理问题,具有一定的深度和广度。另外,全书各章难点之后均列有例题、自测题,每章末尾均安排有复习要点、重要术语解释及知识点。全书各章末尾列有习题和参考文献,书后附有部分习题答案。

    信号完整性与电源完整性分析(第三版) 

    本书全面论述了信号完整性与电源完整性问题。主要讲述信号与电源完整性分析及物理设计概论,4类信号与电源完整性问题的实质含义,物理互连设计对信号完整性的影响,电容、电感、电阻和电导的特性分析,求解信号与电源完整性问题的4种实用技术途径,推导和仿真背后隐藏的解决方案,以及改进信号与电源完整性的推荐设计准则等。本书还讨论了信号与电源完整性中S参数的应用问题,并给出了电源分配网络的设计实例。书中每章都添加了复习题,并在附录D中给出了答案。本书强调直觉理解、实用工具和工程素养。作者以实践专家的视角指出造成信号与电源完整性问题的根源,并特别给出了设计阶段前期的问题解决方案。本书是面向电子行业设计工程师和产品负责人的一本具有实用价值的参考书,研读此书有助于在信号与电源完整性问题出现之前提前发现并及早加以解决。同时,本书也可作为相关专业本科生及研究生的教学用书。

     

    1
    • 商品详情
    • 内容简介

    售后保障

    最近浏览

    猜你喜欢

    该商品在当前城市正在进行 促销

    注:参加抢购将不再享受其他优惠活动

    x
    您已成功将商品加入收藏夹

    查看我的收藏夹

    确定

    非常抱歉,您前期未参加预订活动,
    无法支付尾款哦!

    关闭

    抱歉,您暂无任性付资格

    此时为正式期SUPER会员专享抢购期,普通会员暂不可抢购