返回首页
苏宁会员
购物车 0
易付宝
手机苏宁

服务体验

店铺评分与同行业相比

用户评价:----

物流时效:----

售后服务:----

  • 服务承诺: 正品保障
  • 公司名称:
  • 所 在 地:
本店所有商品

  • [正版]正版全套3册 芯片验证漫游指南+systemverilog验证原书三版+UVM实战卷1测试平台编写计算机编程技术
  • 本店商品限购一件,多拍不发货,谢谢合作
    • 作者: 无著
    • 出版社: 科学出版社
    送至
  • 由""直接销售和发货,并提供售后服务
  • 加入购物车 购买电子书
    服务

    看了又看

    商品预定流程:

    查看大图
    /
    ×

    苏宁商家

    商家:
    如梦图书专营店
    联系:
    • 商品

    • 服务

    • 物流

    搜索店内商品

    商品参数
    • 作者: 无著
    • 出版社:科学出版社
    • ISBN:9782514546677
    • 版权提供:科学出版社

                                                        店铺公告

    为保障消费者合理购买需求及公平交易机会,避免因非生活消费目的的购买货囤积商品,抬价转售等违法行为发生,店铺有权对异常订单不发货且不进行赔付。异常订单:包括但不限于相同用户ID批量下单,同一用户(指不同用户ID,存在相同/临近/虚构收货地址,或相同联系号码,收件人,同账户付款人等情形的)批量下单(一次性大于5本),以及其他非消费目的的交易订单。 温馨提示:请务必当着快递员面开箱验货,如发现破损,请立即拍照拒收,如验货有问题请及时联系在线客服处理,(如开箱验货时发现破损,所产生运费由我司承担,一经签收即为货物完好,如果您未开箱验货,一切损失就需要由买家承担,所以请买家一定要仔细验货), 关于退货运费:对于下单后且物流已发货货品在途的状态下,原则上均不接受退货申请,如顾客原因退货需要承担来回运费,如因产品质量问题(非破损问题)可在签收后,联系在线客服。

    总定价:276元

    1553765737

     

       
     
     
     
         
     
     
       
     
     
     
     
       
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
       
     
     
     
         
     
     
       
     
     
       
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
       
     
     
     
         
     
     
       
     
     
     
       
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
       
     
     
     
         
     
     
       
     
     
     
     
     
     
     

     

    产品展示
    基本信息
    图书名称:
     UVM实战卷1   
    作 者:
     张强
    定价:
     79.00
    ISBN号:
     9787111470199
    出版社:
     机械工业出版社
    开本:
     16
    装帧:
     
    出版日期:
     2014-7-1
    印刷日期:
     2014-7-1
    编辑推荐

    目前一本用研究的眼光解读如何搭建基于UVM搭建验证平台的书。
    作者历时3年钻研UVM源代码和使用UVM经验的系统总结。
    实例丰富,步步清晰引导读者掌握UVM的精髓和实用技巧。
    本书脱胎于网络上广为流传的《UVM1.1应用指南及源码分析》,内容愈加炉火纯青。
    内容介绍
    《UVM实战(卷1)》主要介绍UVM的使用。Q书详尽介绍了UVM的factory机制、sequence机制、phase机制、objection机制及寄存器模型等的使用。此外,本书还试图引导读者思考UVM为什么要引入这些机制,从而使读者知其然,更知其所以然。本书以一个完整的示例开篇,使得读者一开始就对如何使用UVM搭建验证平台有总体的概念。本书提供大量示例代码,这些代码都经过实际的运行。Q书内容力求简单易懂,尽量将UVM中的概念与读者已有的概念联系起来。在第11章还专门介绍了OVM与UVM的区别,为那些从OVM迁移到UVM的用户提供很大帮助。本书主要面向UVM的初学者及想对UVM追根寻底的中级用户。针对没有面向对象编程基础的用户,本书在附录中简要介绍了面向对象的概念及SystemVerilog中区别于其他编程语言的一些特殊语法。
    作者介绍
    张强,资深验证工程师,毕业于浙江大学C大规模集成电路研究所,研究方向为模拟及数模混合集成电路,主要从事模拟电源管理芯片、运算放大器及应用于高性能CPU的SRAM的研究与设计,持有两个与SRAM相关的专利。毕业后一直从事数字集成电路的设计和验证工作,曾经参与过高速智能列车数据采集及通信系统、高性能智能投影仪芯片的研究与开发。目前主要从事手机等消费电子低功耗图形显示芯片的研究。2011年年底,在熟读UVM源代码的情况下,在网上发布了《UVM1.1应用指南及源代码解析》,深受读者肯定。
    目录

     

    第1章 与UVM的DY次接触

    1.1 UVM是什么

    1.1.1 验证在现代IC流程中的位置

    1.1.2 验证的语言

    1.1.3 何谓方法学

    1.1.4 为什么是UVM

    1.1.5 UVM的发展史

    1.2 学了UVM之后能做什么

    1.2.1 验证工程师

    1.2.2 设计工程师

    第2章 一个简单的UVM验证平台

    2.1 验证平台的组成

    2.2 只有driver的验证平台

    *2.2.1 Z简单的验证平台

    *2.2.2 加入factory机制

    *2.2.3 加入objection机制

    *2.2.4 加入virtual interface

    2.3 为验证平台加入各个组件

    *2.3.1 加入transaction

    *2.3.2 加入env

    *2.3.3 加入monitor

    *2.3.4 封装成agent

    *2.3.5 加入reference model

    *2.3.6 加入scoreboard

    *2.3.7 加入field_automation机制

    2.4 UVM的ZJ大作:sequence

    *2.4.1 在验证平台中加入sequencer

    *2.4.2 sequence机制

    *2.4.3 default_sequence 的使用

    2.5 建造测试用例

    *2.5.1 加入base_test

    *2.5.2 UVM中测试用例的启动

    第3章 UVM基础

    3.1 uvm_component与uvm_object

    3.1.1 uvm_component派生自uvm_object

    3.1.2 常用的派生自uvm_object的类

    3.1.3 常用的派生自uvm_component的类

    3.1.4 与uvm_object相关的宏

    3.1.5 与uvm_component相关的宏

    3.1.6 uvm_component的限制

    3.1.7 uvm_component与uvm_object的二元结构

    3.2 UVM的树形结构

    3.2.1 uvm_component中的parent参数

    3.2.2 UVM树的根

    3.2.3 层次结构相关函数

    3.3 field automation机制

    3.3.1 field automation机制相关的宏

    3.3.2 field automation机制的常用函数

    *3.3.3 field automation机制中标志位的使用

    *3.3.4 field automation中宏与if的结合

    3.4 UVM中打印信息的控制

    *3.4.1 设置打印信息的冗余度阈值

    *3.4.2 重载打印信息的严重性

    *3.4.3 UVM_ERROR到达一定数量结束仿真

    *3.4.4 设置计数的目标

    *3.4.5 UVM的断点功能

    *3.4.6 将输出信息导入文件中

    *3.4.7 控制打印信息的行为

    3.5 config_db机制

    3.5.1 UVM中的路径

    3.5.2 set与get函数的参数

    *3.5.3 省略get语句

    *3.5.4 跨层次的多重设置

    *3.5.5 同一层次的多重设置

    *3.5.6 非直线的设置与获取

    *3.5.7 config_db机制对通配符的支持

    *3.5.8 check_config_usage

    3.5.9 set_config与get_config

    3.5.10 config_db的调试

    第4章 UVM中的TLM1.0通信

    4.1 TLM1.

    4.1.1 验证平台内部的通信

    4.1.2 TLM的定义

    4.1.3 UVM中的PORT与EXPORT

    4.2 UVM中各种端口的互连

    *4.2.1 PORT与EXPORT的连接

    *4.2.2 UVM中的IMP

    *4.2.3 PORT与IMP的连接

    *4.2.4 EXPORT与IMP的连接

    *4.2.5 PORT与PORT的连接

    *4.2.6 EXPORT与EXPORT的连接

    *4.2.7 blocking_get端口的使用

    *4.2.8 blocking_transport端口的使用

    4.2.9 nonblocking端口的使用

    4.3 UVM中的通信方式

    *4.3.1 UVM中的analysis端口

    *4.3.2 一个component内有多个IMP

    *4.3.3 使用FIFO通信

    4.3.4 FIFO上的端口及调试

    *4.3.5 用FIFO还是用IMP

    第5章 UVM验证平台的运行

    5.1 phase机制

    *5.1.1 task phase与function phase

    5.1.2 动态运行phase

    *5.1.3 phase的执行顺序

    *5.1.4 UVM树的遍历

    5.1.5 super.phase的内容

    *5.1.6 build阶段出现UVM_ERROR停止仿真

    *5.1.7 phase的跳转

    5.1.8 phase机制的B要性

    5.1.9 phase的调试

    5.1.10 C时退出

    5.2 objection机制

    *5.2.1 objection与task phase

    *5.2.2 参数phase的B要性

    5.2.3 控制objection的ZJ选择

    5.2.4 set_drain_time的使用

    *5.2.5 objection的调试

    5.3 domain的应用

    5.3.1 domain简介

    *5.3.2 多domain的例子

    *5.3.3 多domain中phase的跳转

    第6章 UVM中的sequence

    6.1 sequence基础

    6.1.1 从driver中剥离激励产生功能

    *6.1.2 sequence的启动与执行

    6.2 sequence的仲裁机制

    *6.2.1 在同一sequencer上启动多个sequence

    *6.2.2 sequencer的lock操作

    *6.2.3 sequencer的grab操作

    6.2.4 sequence的有效性

    6.3 sequence相关宏及其实现

    6.3.1 uvm_do系列宏

    *6.3.2 uvm_create与uvm_send

    *6.3.3 uvm_rand_send系列宏

    *6.3.4 start_item与finish_item

    *6.3.5 pre_do、mid_do与post_do

    6.4 sequence进阶应用

    *6.4.1 嵌套的sequence

    *6.4.2 在sequence中使用rand类型变量

    *6.4.3 transaction类型的匹配

    *6.4.4 p_sequencer的使用

    *6.4.5 sequence的派生与继承

    6.5 virtual sequence的使用

    *6.5.1 带双路输入输出端口的DUT

    *6.5.2 sequence之间的简单同步

    *6.5.3 sequence之间的复杂同步

    6.5.4 仅在virtual sequence中控制objection

    *6.5.5 在sequence中慎用fork join_none

    6.6 在sequence中使用config_db

    *6.6.1 在sequence中获取参数

    *6.6.2 在sequence中设置参数

    *6.6.3 wait_modified的使用

    6.7 response的使用

    *6.7.1 put_response与get_response

    6.7.2 response的数量问题

    *6.7.3 response handler与另类的response

    *6.7.4 rsp与req类型不同

    6.8 sequence library

    6.8.1 随机选择sequence

    6.8.2 控制选择算法

    6.8.3 控制执行次数

    6.8.4 使用sequence_library_cfg

    第7章 UVM中的寄存器模型

    7.1 寄存器模型简介

    *7.1.1 带寄存器配置总线的DUT

    7.1.2 需要寄存器模型才能做的事情

    7.1.3 寄存器模型中的基本概念

    7.2 简单的寄存器模型

    *7.2.1 只有一个寄存器的寄存器模型

    *7.2.2 将寄存器模型集成到验证平台中

    *7.2.3 在验证平台中使用寄存器模型

    7.3 后门访问与前门访问

    *7.3.1 UVM中前门访问的实现

    7.3.2 后门访问操作的定义

    *7.3.3 使用interface进行后门访问操作

    7.3.4 UVM中后门访问操作的实现:DPI+VPI

    *7.3.5 UVM中后门访问操作接口

    7.4 复杂的寄存器模型

    *7.4.1 层次化的寄存器模型

    *7.4.2 reg_file的作用

    *7.4.3 多个域的寄存器

    *7.4.4 多个地址的寄存器

    *7.4.5 加入存储器

    7.5 寄存器模型对DUT的模拟

    7.5.1 期望值与镜像值

    7.5.2 常用操作及其对期望值和镜像值的影响

    7.6 寄存器模型中一些内建的sequence

    *7.6.1 检查后门访问中hdl路径的sequence

    *7.6.2 检查默认值的sequence

    *7.6.3 检查读写功能的sequence

    7.7 寄存器模型的高级用法

    *7.7.1 使用reg_predictor

    *7.7.2 使用UVM_PREDICT_DIRECT功能与mirror操作

    *7.7.3 寄存器模型的随机化与update

    7.7.4 扩展位宽

    7.8 寄存器模型的其他常用函数

    7.8.1 get_root_blocks

    7.8.2 get_reg_by_offset函数

    第8章 UVM中的factory机制

    8.1 SystemVerilog对重载的支持

    *8.1.1 任务与函数的重载

    *8.1.2 约束的重载

    8.2 使用factory机制进行重载

    *8.2.1 factory机制式的重载

    *8.2.2 重载的方式及种类

    *8.2.3 复杂的重载

    *8.2.4 factory机制的调试

    8.3 常用的重载

    *8.3.1 重载transaction

    *8.3.2 重载sequence

    *8.3.3 重载component

    8.3.4 重载driver以实现所有的测试用例

    8.4 factory机制的实现

    8.4.1 创建一个类的实例的方法

    *8.4.2 根据字符串来创建一个类

    8.4.3 用factory机制创建实例的接口

    8.4.4 factory机制的本质

    第9章 UVM中代码的可重用性

    9.1 callback机制

    9.1.1 广义的callback函数

    9.1.2 callback机制的B要性

    9.1.3 UVM中callback机制的原理

    *9.1.4 callback机制的使用

    *9.1.5 子类继承父类的callback机制

    9.1.6 使用callback函数/任务来实现所有的测试用例

    9.1.7 callback机制、sequence机制和factory机制

    9.2 功能的模块化:小而美

    9.2.1 Linux的设计哲学:小而美

    9.2.2 小而美与factory机制的重载

    9.2.3 放弃建造强大sequence的想法

    9.3 参数化的类

    9.3.1 参数化类的B要性

    *9.3.2 UVM对参数化类的支持

    9.4 模块级到芯片级的代码重用

    *9.4.1 基于env的重用

    *9.4.2 寄存器模型的重用

    9.4.3 virtual sequence与virtual sequencer

    第10章 UVM高级应用

    10.1 interface

    10.1.1 interface实现driver的部分功能

    *10.1.2 可变时钟

    10.2 layer sequence

    *10.2.1 复杂sequence的简单化

    *10.2.2 layer sequence的示例

    *10.2.3 layer sequence与try_next_item

    *10.2.4 错峰技术的使用

    10.3 sequence的其他问题

    *10.3.1 心跳功能的实现

    10.3.2 只将virtual_sequence设置为default_sequence

    10.3.3 disable fork语句对原子操作的影响

    10.4 DUT参数的随机化

    10.4.1 使用寄存器模型随机化参数

    *10.4.2 使用单独的参数类

    10.5 聚合参数

    10.5.1 聚合参数的定义

    10.5.2 聚合参数的优势与问题

    10.6 config_db

    10.6.1 换一个phase使用config_db

    *10.6.2 config_db的替代者

    *10.6.3 set函数的第二个参数的检查

    第11章 OVM到UVM的迁移

    11.1 对等的迁移

    11.2 一些过时的用法

    *11.2.1 sequence与sequencer的factory机制实现

    11.2.2 sequence的启动与uvm_test_done

    *11.2.3 手动调用build_phase

    11.2.4 纯净的UVM环境

    附录A SystemVerilog使用简介

    附录B DUT代码清单

    附录C UVM命令行参数汇总

    附录D UVM常用宏汇总

     

    作者历时3年钻研UVM源代码和使用UVM经验的系统总结。
    实例丰富,步步清晰引导读者掌握UVM的精髓和实用技巧。
    本书脱胎于网络上广为流传的《UVM1.1应用指南及源码分析》,内容愈加炉火纯青。
     

    1553765737

     

    产品展示

    基本信息
    图书名称:
    芯片验证漫游指南
    作者:
    刘斌
    定价:
    99.00
    ISBN号:
    9787121339011
    出版社:
    电子工业出版社
    开本:
    16开
    装帧:
    平装塑封
    编辑推荐

    内容介绍
    资深验证专家刘斌(路桑)向您全面介绍芯片验证,从验证的理论,到SystemVerilog语言和UVM验证方法学,再到高级验证项目话题。这本综合性、实用性的验证理论和编程方面的图书,针对芯片验证领域不同级别的验证工程师,给出由浅入深的技术指南:学习验证理论来认识验证流程和标准,学习SystemVerilog语言和UVM方法学来掌握目前主流的动态验证技术,了解高级验证话题在今后遇到相关问题时可以参考。
    作者介绍
    刘斌(路桑),目前是Intel公司的资深验证专家。在Intel移动通信事业部主持验证架构规划和方法学研究,担任过几款亿门级通信芯片的验证经理角色。在工程领域之外,他在西安电子科技大学和西安交通大学客座讲授芯片验证课程。创办的验证技术订阅号“路科验证”,目前已有超过10000名的订阅者。多次在设计验证行业国际会议和展览中发表论文,并做了富有特色的演讲。在西安交通大学取得微电子专业学士学位,在瑞典皇家理工学院取得芯片设计专业硕士学位。
    目录
    第1章 芯片验证全视 1.1 功能验证简介 1.2 验证的处境 1.2.1 验证语言的发展 1.2.2 验证面临的挑战 1.3 验证能力的5个维度 1.3.1 完备性 1.3.2 复用性 1.3.3 高效性 1.3.4 高产出 1.3.5 代码性能 1.4 验证的任务和目标 1.4.1 按时保质低耗 1.4.2 芯片研发与客户反馈 1.4.3 缺陷增长曲线 1.5 验证的周期 1.5.1 验证周期中的检查点 1.5.2 功能详述 1.5.3 制定验证计划 1.5.4 开发验证环境 1.5.5 调试环境和HDL文件 1.5.6 回归测试 1.5.7 芯片生产 1.5.8 硅后系统测试 1.5.9 逃逸分析 1.6 本章结束语第2章 验证的策略 2.1 设计的流程 2.1.1 TLM模型的需求和ESL开发 2.1.2 传统的系统设计流程 2.1.3 ESL系统设计流程 2.1.4 语言的抽象级比较 2.1.5 传统的系统集成视角 2.1.6 ESL系统集成视角 2.2 验证的层次 2.2.1 模块级 2.2.2 子系统级 2.2.3 芯片系统级 2.2.4 硅后系统级 2.3 验证的透明度 2.3.1 黑盒验证 2.3.2 白盒验证 2.3.3 灰盒验证 2.4 激励的原则 2.4.1 接口类型 2.4.2 序列颗粒度 2.4.3 可控性 2.4.4 组件独立性 2.4.5 组合自由度 2.5 检查的方法 2.6 集成的环境 2.6.1 验证平台 2.6.2 待验设计 2.6.3 运行环境 2.6.4 验证管理 2.7 本章结束语第3章 验证的方法 3.1 动态仿真 3.1.1 定向测试 3.1.2 随机测试 3.1.3 基于覆盖率驱动的随机验证 3.1.4 基于TLM的随机验证 3.1.5 断言检查 3.2 静态检查 3.2.1 语法检查 3.2.2 语义检查 3.2.3 跨时钟域检查 3.2.4 形式验证 3.3 开发环境 3.3.1 Vim开发环境 3.3.2 商业SV开发环境——DVT 3.4 虚拟模型 3.5 硬件加速 3.6 效能验证 3.6.1 功率和能量 3.6.2 静态功耗和动态功耗 3.6.3 节能技术 3.6.4 效能验证 3.6.5 功耗预测与优化 3.7 性能验证 3.7.1 设定目标 3.7.2 测试环境 3.7.3 验证方法 3.8 趋势展望 3.8.1 技术之间的横向跨越 3.8.2 层次之间的纵向复用 3.9 本章结束语第4章 验证的计划 4.1 计划概述 4.2 计划的内容 4.2.1 技术的视角 4.2.2 项目的视角 4.3 计划的实现 4.3.1 邀请相关人员 4.3.2 开会讨论 4.3.3 确定测试场景 4.3.4 创建验证环境 4.4 计划的进程评估 4.4.1 回归测试通过率 4.4.2 代码覆盖率 4.4.3 断言覆盖率 4.4.4 功能覆盖率 4.4.5 缺陷曲线 4.5 本章结束语第5章 验证的管理 5.1 验证周期的检查清单 5.2 验证管理的三要素 5.2.1 时间管理 5.2.2 人力资源安排 5.2.3 任务拆分和重组 5.3 验证的收敛 5.3.1 回归流程 5.3.2 回归质量 5.3.3 回归效率 5.4 让漏洞无处可逃 5.5 团队建设 5.6 验证师的培养 5.6.1 全硅能力 5.6.2 不做假设 5.6.3 专注力 5.6.4 逻辑性 5.6.5 “战鼓光环” 5.6.6 降低复杂度 5.7 验证的专业化 5.7.1 对验证的偏见 5.7.2 验证面临的现状 5.7.3 验证标准化 5.7.4 验证经验的积累和突破 5.8 本章结束语第6章 验证的结构 6.1 测试平台概述 6.2 硬件设计描述 6.2.1 功能描述 6.2.2 设计结构 6.2.3 接口描述 6.2.4 接口时序 6.2.5 寄存器描述 6.3 激励发生器 6.4 监测器 6.5 比较器 6.6 验证结构 6.6.1 项目背景 6.6.2 MCDF验证进度安排 6.7 本章结束语第7章 SV环境构建 7.1 数据类型 7.2 模块定义与例化 7.2.1 模块定义 7.2.2 模块例化 7.2.3 参数使用 7.2.4 参数修改 7.2.5 宏定义 7.3 接口 7.3.1 接口连接方式1 7.3.2 接口连接方式2 7.3.3 接口的其他应用 7.4 程序和模块 7.4.1 Verilog设计竞争问题 7.4.2 SV的仿真调度机制 7.4.3 module数据采样示例1 7.4.4 module数据采样示例2 7.4.5 program数据采样示例 7.5 测试的始终 7.5.1 系统函数调用方式结束 7.5.2 program隐式结束 7.5.3 program显式结束 7.6 本章结束语第8章 SV组件实现 8.1 激励发生器的驱动 8.1.1 激励驱动的方法 8.1.2 任务和函数 8.1.3 数据生命周期 8.1.4 通过接口驱动 8.1.5 测试向量产生 8.1.6 仿真结束控制 8.2 激励发生器的封装 8.2.1 类的封装 8.2.2 类的继承 8.2.3 成员覆盖 8.2.4 虚方法 8.2.5 句柄使用 8.2.6 对象复制 8.2.7 对象回收 8.3 激励发生器的随机化 8.3.1 可随机的激励种类 8.3.2 约束求解器 8.3.3 随机变量和数组 8.3.4 约束块 8.3.5 随机化控制 8.3.6 随机化的稳定性 8.3.7 随机化的流程控制 8.3.8 随机化的系统函数 8.4 监测器的采样 8.4.1 Interface clocking简介 8.4.2 利用clocking事件同步 8.4.3 利用clocking采样数据 8.4.4 利用clocking产生激励 8.4.5 monitor的采样功能 8.5 组件间的通信 8.5.1 通知的需求 8.5.2 资源共享的需求 8.5.3 数据通信的需求 8.5.4 进程同步的需求 8.5.5 进程通信要素的比较和应用 8.6 比较器和参考模型 8.6.1 异常检查 8.6.2 常规检查 8.6.3 时序检查 8.6.4 组件连接 8.7 测试环境的报告规范 8.7.1 信息报告库 8.7.2 信息库使用场景 8.8 本章结束语第9章 SV系统集成 9.1 包的意义 9.2 验证环境的组装 9.2.1 封装验证环境的方式 9.2.2 模块环境的复用考量 9.2.3 比较器的复用考量 9.2.4 顶层环境的实现 9.3 测试场景的生成 9.3.1 动态控制激励 9.3.2 调度多个激励器 9.3.3 线程的精细控制 9.3.4 动态测试向量 9.3.5 向量群落的并发控制 9.4 灵活化的配置 9.4.1 Agent的两面性 9.4.2 各个组件的模式配置 9.4.3 验证结构的集成顺序 9.5 初论环境的复用性 9.5.1 复用的策略 9.5.2 水平复用的应用 9.5.3 垂直复用的应用 9.6 本章结束语第10章 UVM世界观 10.1 我们所处的验证时代 10.2 类库地图 10.3 工厂机制 10.3.1 工厂的意义 10.3.2 工厂提供的便利 10.3.3 覆盖方法 10.3.4 确保正确覆盖的代码要求 10.4 核心基类 10.4.1 域的自动化 10.4.2 复制 10.4.3 比较 10.4.4 打印 10.4.5 打包和解包 10.5 phase机制 10.5.1 phase执行机制 10.5.2 如何开始UVM仿真 10.5.3 如何结束UVM仿真 10.6 config机制 10.6.1 interface传递 10.6.2 变量设置 10.6.3 config object传递 10.6.4 config机制 10.6.5 其他配置方法 10.6.6 uvm_resource_db的使用 10.7 消息管理 10.7.1 消息方法 10.7.2 消息处理 10.7.3 消息机制 10.8 宏的优劣探讨 10.9 本章结束语第11章 UVM结构 11.1 组件家族 11.1.1 uvm_driver 11.1.2 uvm_monitor 11.1.3 uvm_sequencer 11.1.4 uvm_agent 11.1.5 uvm_scoreboard 11.1.6 uvm_env 11.1.7 uvm_test 11.2 把DUT装进TB分几步 11.2.1 MCDF顶层验证环境方案1 11.2.2 MCDF顶层验证环境方案2 11.3 构建环境的内经 11.3.1 环境构建的四要素 11.3.2 环境元素分类 11.4 本章结束语第12章 UVM通信 12.1 TLM通信概论 12.2 单向、双向及多向通信 12.2.1 单向通信 12.2.2 双向通信 12.2.3 多向通信 12.3 通信管道应用 12.3.1 TLM FIFO 12.3.2 Analysis Port 12.3.3 Analysis TLM FIFO 12.3.4 Request & Response 通信 管道 12.4 TLM2通信 12.4.1 接口实现 12.4.2 传送数据 12.4.3 时间标记 12.4.4 典型使用 12.5 同步通信元件 12.5.1 uvm_event应用 12.5.2 uvm_barrier应用 12.5.3 uvm_callback应用 12.6 本章结束语第13章 UVM序列 13.1 新手上路 13.2 Sequence和Item 13.2.1 Sequence Item 13.2.2 Flat Sequence 13.2.3 Hierarchical Sequence 13.3 Sequencer和Driver 13.3.1 双方的TLM端口和方法 13.3.2 事务传输实例 13.3.3 通信时序 13.4 Sequencer和Sequence 13.4.1 发送sequence及item的方法和宏 13.4.2 sequencer的仲裁特性及应用 13.5 Sequence的层次化 13.5.1 Hierarchical Sequence 13.5.2 Virtual Sequence 13.5.3 Layering Sequence 13.6 本章结束语第14章 UVM寄存器 14.1 寄存器模型概览 14.2 寄存器模型的集成 14.2.1 总线UVC的实现 14.2.2 MCDF寄存器模块代码 14.2.3 Adapter的实现 14.2.4 Adapter的集成 14.2.5 前门访问 14.2.6 后门访问 14.2.7 前门访问和后门访问的比较 14.3 寄存器模型的常规方法 14.3.1 mirrored、desired和actual value 14.3.2 prediction的分类 14.3.3 uvm_reg的访问方法 14.3.4 mem与reg的联系和差别 14.3.5 内建sequences 14.4 寄存器模型的场景应用 14.4.1 如何检查寄存器模型 14.4.2 功能覆盖率的实现 14.5 本章结束语第15章 验证平台自动化 15.1 为什么需要一款代码生成器 15.2 UVM Framework 15.3 如何定制一款TB自动化工具 15.3.1 验证环境的自动化创建 15.3.2 测试框架和测试用例的垂直复用 15.3.3 中心化的功能覆盖率管理 15.4 本章结束语第16章 跨平台移植复用 16.1 便携激励标准(PSS) 16.2 PSS工具集概览 16.2.1 inFact 16.2.2 Perspec 16.2.3 Breker Trek系列 16.3 跨平台的验证结构考量 16.3.1 virtual prototyping与simulation的混合仿真 16.3.2 virtual prototyping与FPGAprototyping的混合仿真 16.3.3 simulation与emulation的混合仿真 16.3.4 virtual prototyping与emulation的混合仿真 16.4 本章结束语第17章 SV及UVM接口应用 17.1 DPI接口和C测试 17.1.1 总线接口的读写实现 17.1.2 virtual_core类的定义 17.1.3 DPI方法的实现 17.1.4 多核并行处理实现 17.1.5 中断响应的实现 17.2 SystemC与UVM的TLM2通信 17.2.1 UVMC连接 17.2.2 UVM指令API 17.3 MATLAB及Simulink模型与UVM的混合仿真 17.4 脚本语言与UVM的交互 17.4.1 线上控制和线下激励的交互应用 17.4.2 线上控制和线上激励的交互应用 17.5 本章结束语第18章 SV及UVM高级话题 18.1 SystemVerilog开源公共库 18.1.1 SV开源库之一:svlib 18.1.2 SV开源库之二:cluelib 18.2 SV单元测试方法SVUnit 18.3 OVM到UVM的移植 18.3.1 OVM代码检视 18.3.2 OVM到UVM的代码自动转换 18.3.3 替换OVM phase方法 18.3.4 替换OVM objection方法 18.3.5 替换OVM configuration方法 18.3.6 添加UVM的新特性 18.4 OVM与UVM的混合仿真 18.4.1 UVM-ML验证框架 18.4.2 OVM兼容层 18.4.3 XVM 18.5 本章结束语参考文献
    1553765737
    1
    • 商品详情
    • 内容简介

    售后保障

    最近浏览

    猜你喜欢

    该商品在当前城市正在进行 促销

    注:参加抢购将不再享受其他优惠活动

    x
    您已成功将商品加入收藏夹

    查看我的收藏夹

    确定

    非常抱歉,您前期未参加预订活动,
    无法支付尾款哦!

    关闭

    抱歉,您暂无任性付资格

    此时为正式期SUPER会员专享抢购期,普通会员暂不可抢购