返回首页
苏宁会员
购物车 0
易付宝
手机苏宁

服务体验

店铺评分与同行业相比

用户评价:----

物流时效:----

售后服务:----

  • 服务承诺: 正品保障
  • 公司名称:
  • 所 在 地:

  • 正版 CPLD/FPGA与ASIC设计实践教程 邹道胜 科学出版社 978703028
  • 新华书店旗下自营,正版全新
    • 作者: 邹道胜著 | 邹道胜编 | 邹道胜译 | 邹道胜绘
    • 出版社: 科学出版社
    • 出版时间:2009-03-01
    送至
  • 由""直接销售和发货,并提供售后服务
  • 加入购物车 购买电子书
    服务

    看了又看

    商品预定流程:

    查看大图
    /
    ×

    苏宁商家

    商家:
    美阅书店
    联系:
    • 商品

    • 服务

    • 物流

    搜索店内商品

    商品分类

    商品参数
    • 作者: 邹道胜著| 邹道胜编| 邹道胜译| 邹道胜绘
    • 出版社:科学出版社
    • 出版时间:2009-03-01
    • 版次:2
    • 印刷时间:2017-01-01
    • 字数:430000
    • 页数:279
    • 开本:16开
    • ISBN:9787030288301
    • 版权提供:科学出版社
    • 作者:邹道胜
    • 著:邹道胜
    • 装帧:平装
    • 印次:暂无
    • 定价:56.00
    • ISBN:9787030288301
    • 出版社:科学出版社
    • 开本:16开
    • 印刷时间:2017-01-01
    • 语种:中文
    • 出版时间:2009-03-01
    • 页数:279
    • 外部编号:9046388
    • 版次:2
    • 成品尺寸:暂无

    丛书序
    第二版前言
    第一版前言
    第1章 绪论
    1.1 概述
    1.1.1 电子器件的发展
    1.1.2 电子设计技术的发展
    1.2 EDA技术的发展史
    1.2.1 EDA概念
    1.2.2 EDA技术的发展
    1.3 CPLD/FPGA的发展史
    1.3.1 数字集成电路的分类
    1.3.2 可编程逻辑器件的发展史
    1.4 常用EDA设计工具介绍
    1.4.1 电子电路设计与仿真工具
    1.4.2 PCB设计软件
    1.4.3 IC设计软件
    1.4.4 CPLD/FPGA应用设计工具
    1.5 数字系统的设计方法
    1.5.1 数字电路设计的基本方法
    1.5.2 现代数字系统的设计方法
    1.5.3 CPLD/FPGA应用设计流程
    1.5.4 基于QuartusⅡ的设计流程
    1.5.5 基于ISE的设计流程
    思考与练习题
    第2章 可编程逻辑器件基础
    2.1 引言
    2.2 PID器件及其分类
    2.2.1 PLD器件
    2.2.2 PLD的分类
    2.3 可编程逻辑器件结构简介
    2.3.1 标准门单元、电路示意和PAL等效图
    2.3.2 PLD的逻辑表示方法
    2.3.3 PLD的基本结构
    2.4 CPLD/FPGA的结构和原理
    2.4.1 EPLD和CPLD的基本结构
    2.4.2 FPGA的基本结构
    2.5 CPLD/FPGA器件的编程
    2.5.1 Altera公司的EPLD/CPLD器件及其配置与编程
    2.5.2 L,attice公司的ISP-CPLD器件及其编程
    2.5.3 Xilinx公司的CPLD/FPGA器件及其编程
    2.5.4.CPLD/FPGA通用电路设计
    2.6 边界扫描测试技术
    思考与练习题
    第3章 EDA工具应用设计实践
    3.1 QuartusⅡ简介
    3.2 QuartusⅡ的使用方法
    3.2.1 原理图输入法
    3.2.2 HDL输入法
    思考与练习题
    第4章 数字系统与Verllog HDL描述
    4.1 verilox HDL的一般结构
    4.1.1 电子系统、电路和模块
    4.1.2 verilog HDL模块的结构
    4.1.3 Verilog HDL模块的描述方式
    4.2 数字电路的Vedlog HDL模型与设计
    4.2.1 交通灯监视电路设计
    4.2.2 四位二进制数18421BCD码
    4.2.3 函数发生器设计
    4.2.4 四选一数据选择器
    4.2.5 三进制计数器设计
    4.2.6 移位寄存器设计
    4.2.7 伪随机序列信号发生器设计
    思考与练习题
    第5章 Verilog HDL语言基础
    5.1 为什么要用verilog HDL
    5.1.1 概述
    5.1.2 Verilog HDL和VHDL比较
    5.1.3 Verilog HDL语言的主要功能
    5.1.4 传统数字电路设计方法的回顾
    5.2 Verilog HDL基础语法
    5.2.1 Verilog HDL的词法
    5.2.2 Verilog HDL的数据类型
    5.2.3 verilog HDL运算符及表达式
    5.2.4 系统任务与系统函数
    ……
    第6章 Verilog HDL设计进阶
    第7章 综合设计实例
    第8章 设计实验项目
    第9章 SoPC设计
    附录A Verilog HDL关键字
    附录B MY-FPGA-EP1C3开发板介绍
    参考文献

      《CPLD/FPGA与ASIC设计实践教程(第二版)》以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理和开发技术。第1~3章介绍EDA技术和可编程逻辑器件的原理,CPLD/FPGA器件的性能指标与选型、编程方法和电路,以及常用EDA设计软件的使用。第4~6章先详细介绍Verilog HDL语言,然后按照数字电路与逻辑设计课程的顺序,通过实例说明常用数字逻辑电路的实现方法,并讨论利用VerilogHDL设计可综合的数字电路的方法与技巧。第7、8章是设计实验部分,包括经典数字电路设计练习和综合性设计项目。第9章介绍嵌入式系统的发展趋势、SoPC设计技术及其应用等。
      《CPLD/FPGA与ASIC设计实践教程(第二版)》可作为高等院校电子电气类、机电类等专业数字系统设计课程的教材,也可作为相关领域工程技术人员的参考书。

      《CPLD/FPGA与ASIC设计实践教程(第二版)》:
      内容全面系统、讲解循序渐进,满足数字电路基础课程实验、小型数字系统设计、嵌入式系统设计等多层次的教学需求。
      面向实际工程应用,以Verilog HDL语言为例详细剖析数字系统的设计方法,体现硬件设计软件化的设计思想。
      紧跟技术发展方向,新增SoPC等相关内容,力求帮助学生掌握数字系统设计的新方法,为后续课程打下基础。
      《CPLD/FPGA与ASIC设计实践教程(第二版)》配有相关教辅资料和实验资料,便于教学和实验的开展。

    售后保障

    最近浏览

    猜你喜欢

    该商品在当前城市正在进行 促销

    注:参加抢购将不再享受其他优惠活动

    x
    您已成功将商品加入收藏夹

    查看我的收藏夹

    确定

    非常抱歉,您前期未参加预订活动,
    无法支付尾款哦!

    关闭

    抱歉,您暂无任性付资格

    此时为正式期SUPER会员专享抢购期,普通会员暂不可抢购