返回首页
苏宁会员
购物车 0
易付宝
手机苏宁

服务体验

店铺评分与同行业相比

用户评价:----

物流时效:----

售后服务:----

  • 服务承诺: 正品保障
  • 公司名称:
  • 所 在 地:

  • 走向芯世界 徐步陆 编 专业科技 文轩网
  • 新华书店正版
    • 作者: 徐步陆著
    • 出版社: 电子工业出版社
    • 出版时间:2023-01-01 00:00:00
    送至
  • 由""直接销售和发货,并提供售后服务
  • 加入购物车 购买电子书
    服务

    看了又看

    商品预定流程:

    查看大图
    /
    ×

    苏宁商家

    商家:
    文轩网图书旗舰店
    联系:
    • 商品

    • 服务

    • 物流

    搜索店内商品

    商品分类

         https://product.suning.com/0070067633/11555288247.html

     

    商品参数
    • 作者: 徐步陆著
    • 出版社:电子工业出版社
    • 出版时间:2023-01-01 00:00:00
    • 版次:1
    • 印次:1
    • 印刷时间:2023-01-01
    • 页数:184
    • 开本:其他
    • 装帧:平装
    • ISBN:9787121448263
    • 国别/地区:中国
    • 版权提供:电子工业出版社

    走向芯世界

    作  者:徐步陆 编
    定  价:68
    出 版 社:电子工业出版社
    出版日期:2023年01月01日
    页  数:184
    装  帧:平装
    ISBN:9787121448263
    主编推荐

    内容简介

    本书按知识谱系分为芯片设计、制造、封测、软件工具、材料装备、产业投资、企业运营,以及政策规划等十大类、近百个小专题。在知识全面覆盖产业链的同时,对芯片设计作为产业“龙头”、处理器作为芯片之“冠”、EDA作为设计之“笔”、光刻机作为装备之“巅”、鳍式场效应晶体管(FinFET)作为制造之“拱门”、科创板芯片概念等产业重点、技术卡点和社会热点,进行“庖丁解牛”式的融贯,达到化繁为简、以微知著地普及和传播芯片知识的目的。本书内容详细,兼具全面性和系统性、科学性和趣味性、开放性和可读性,可作为集成电路和电子信息专业读者的入门读物,对于各级政府主管部门,投融资行业和社会各界对集成电路行业感兴趣的读者来说,本书也具有非常好的科普价值。

    作者简介

    徐步陆,工学博士, 正高级工程师(集成电路设计)。上海硅知识产权交易中心董事、总经理,上海市集成电路行业协会监事长。著作有《微处理器体系结构:专利技术研究方法 第一辑: X86指令总述》《微处理器体系结构:专利技术研究方法 第二辑:X86多媒体指令集》《微处理器体系结构:专利技术研究方法 第三辑:x86指令实现专利技术》《信息技术知识产权理论与实践研究》等。

    精彩内容

    目录
    第1章一颗奔腾的心:集成电路面面观/1
    集成电路的发明:微观世界真奇妙/1
    集成电路发展的动力/3
    再议神奇的摩尔定律/6
    集成电路产业有多大/7
    谁是大玩家:世界十大半导体公司/9
    价比飞机的光刻机:造得飞机,造不了光刻机/13
    什么是我国的芯片“卡脖子”问题/15
    科创板风口上的中国芯片行业/17
    美国芯片行业可以“闭门造车”吗/18
    芯片的服务对象:软件/19
    集成电路的未来出路/21
    第2章集成电路中的半导体器件/24
    PN结/24
    双极型晶体管/26
    MOS管/27
    集成电路中的无源元件/29
    芯片有多少种?――半导体与集成电路的异同/30
    12英寸和5nm,到底是什么/32
    LED:我也叫芯片/34
    化合物半导体/35
    谁是集成电路的专利发明人:TI和仙童的“双黄蛋”之争/37
    第3章集成电路的基础工艺/39
    采用硅单晶制造集成电路的理由/39
    氧化、扩散和离子注入技术/40
    绘制精细图形的光刻技术/42
    刻蚀技术/43
    薄膜淀积技术/44
    大硅片制造有多难/46
    从0到1:异军突起的中国军团/48
    第4章集成电路的制造工艺/50
    集成电路制造入门:双极型集成电路/50
    集成电路制造进阶一:MOS集成电路/52
    集成电路制造进阶二:CMOS集成电路/54
    多层布线/56
    布线的转轨:从铝到铜/57
    站起来的晶体管:鳍式场效应晶体管FinFET/59
    已经到来的下一代晶体管:全栅场效应晶体管GAAFET/61
    胡正明的故事/62
    刊登于《科学》杂志的复旦大学发明:半浮栅晶体管(SFGT)/63
    应用材料AppliedMaterials:我的主业是装备/65
    “不能倒下”的台积电/67
    中国集成电路业的“筚路蓝缕”/69
    第5章数字集成电路/75
    数字集成电路:0与1的对话/75
    数字集成电路的基本法则/76
    集成电路的抽象层级化:大道若简/77
    CMOS基本门电路的分类/79
    典型的组合逻辑电路/82
    时序逻辑电路基础/83
    时钟:数字电路的“脉搏”/85
    最简单的数字电路组合――计数器/86
    数字集成电路设计“接力赛”――设计流程/87
    专用集成电路的设计/89
    微处理器的设计/91
    CISC和RISC/92
    处理器的开源时代/94
    Intel原来是“火星人”/95
    第6章存储器的设计/97
    算来算去,存进存出:数据存储的意义/97
    从书库、书架、书桌到口袋书:存储的层次结构/98
    得存储者,三分“芯”天下/99
    存储器的产品、种类/100
    站在同一起跑线上的新型存储器/102
    DRAM存储器引发日美芯片霸主之争/104
    闪存:“存储王”三星的第二棒/106
    中国军团的存储破垒/108
    第7章模拟集成电路/111
    与真实世界的对话窗口:模拟集成电路/111
    基础模拟集成电路:放大器/113
    模数转换器(ADC)/115
    数模转换器(DAC)/116
    5G射频芯片:艺术家的设计/117
    我们的第一个翻身仗:电源管理芯片/119
    德州仪器才是“武当真人”:利润之神/120
    第8章集成电路设计的EDA技术/122
    EDA工具的主要构成/122
    数字电路设计全流程EDA工具/124
    模拟与混合电路设计全流程EDA工具/126
    集成电路制造类EDA工具/127
    数字设计验证的性能比赛:仿真/128
    买买买:国际EDA并购史/130
    中国EDA初现大本营:上海/132
    第9章封测技术与可靠性/135
    装在“防护服”里的芯才安全:封装/135
    一颗芯,百件衣/136
    引线框架封装/138
    倒装焊与球栅阵列封装/139
    晶圆级芯片规模封装/140
    多芯片封装,让芯片住上套房和楼房/141
    “零缺陷”的汽车芯片封装/143
    芯片测试:说你行,你就行/144
    JEDEC是什么?国际封装标准/145
    已经坐在牌桌上的中国封测业/147
    第10章SoC大一统时代与产业的明天/149
    像搭积木一样设计SoC芯片/149
    “积木”千万种:硅知识产权IP核的定义与分类/152
    IP之巅:处理器IP核/154
    人工智能的算力:从CPU、GPU到DPU/155
    集成电路的另一个增长极:汽车/157
    资本的力量:集成电路投资的盛宴/160
    为什么2021年芯片短缺:芯片的经济属性/163
    何谓硅知识产权:芯片就是知识产权,知识产权就是芯片/164
    中国集成电路发展方向,优选的大学有哪些/166
    产教融合、职业教育奏响集成电路人才培养新篇章/168
    百花齐放:中国芯片的时代舞台/170
    国家的期盼/171

    售后保障

    最近浏览

    猜你喜欢

    该商品在当前城市正在进行 促销

    注:参加抢购将不再享受其他优惠活动

    x
    您已成功将商品加入收藏夹

    查看我的收藏夹

    确定

    非常抱歉,您前期未参加预订活动,
    无法支付尾款哦!

    关闭

    抱歉,您暂无任性付资格

    此时为正式期SUPER会员专享抢购期,普通会员暂不可抢购