返回首页
苏宁会员
购物车 0
易付宝
手机苏宁

服务体验

店铺评分与同行业相比

用户评价:----

物流时效:----

售后服务:----

  • 服务承诺: 正品保障
  • 公司名称:
  • 所 在 地:

  • Vivado/Tcl零基础入门与案例实战 高亚军 编 专业科技 文轩网
  • 新华书店正版
    • 作者: 高亚军著
    • 出版社: 电子工业出版社
    • 出版时间:2021-06-01 00:00:00
    送至
  • 由""直接销售和发货,并提供售后服务
  • 加入购物车 购买电子书
    服务

    看了又看

    商品预定流程:

    查看大图
    /
    ×

    苏宁商家

    商家:
    文轩网图书旗舰店
    联系:
    • 商品

    • 服务

    • 物流

    搜索店内商品

    商品分类

         https://product.suning.com/0070067633/11555288247.html

     

    商品参数
    • 作者: 高亚军著
    • 出版社:电子工业出版社
    • 出版时间:2021-06-01 00:00:00
    • 版次:1
    • 印次:1
    • 印刷时间:2021-06-01
    • 页数:272
    • 开本:其他
    • 装帧:平装
    • ISBN:9787121412516
    • 国别/地区:中国
    • 版权提供:电子工业出版社

    Vivado/Tcl零基础入门与案例实战

    作  者:高亚军 编
    定  价:79
    出 版 社:电子工业出版社
    出版日期:2021年06月01日
    页  数:272
    装  帧:平装
    ISBN:9787121412516
    主编推荐

    "内容翔实全面:既有Tcl编程知识,又有Vivado应用案例 讲解深入浅出:结合大量案例,帮助读者加强对基本概念的理解 描述图文并茂:给出具体操作步骤,易于快速动手实践"

    内容简介

    本书既是一本有关Tcl语言编程的书籍,又是一本对在Vivado中应用Tcl的实践经验总结的书籍。全书分为两部分:第一部分为基础部分,以Tcl基础知识为主,包括第1~9章,重在理论;第二部分为应用部分,以Tcl在Vivado中的应用为主,包括第10~14章,重在实践。同时,本书给出了354个Tcl脚本的代码示例,结合49个表格、171张图片帮助读者深入理解知识点。无论Tcl初学者,还是已掌握Tcl精髓的工程师,只要想将Tcl得心应手地应用于Vivado设计与开发,都会从本书中受益。本书可供电子工程领域内的本科高年级学生和研究生参考,也可供FPGA工程师和自学者参考。

    作者简介

    高亚军,电路与系统专业硕士,FPGA技术分享者,设计优化、时序收敛专家,Vivado工具使用专家,Xilinx资深战略应用工程师。多年来使用Xilinx FPGA实现数字信号处理算法,对Xilinx FPGA 器件架构、开发工具Vivado/Vitis HLS/System Generator 有着深厚的理论基础和实战经验。 主要著作: 2011年出版图书《基于FPGA的数字信号处理》 2012年发布网络视频课程:Vivado入门与提高 2015年出版图书《基于FPGA的数字信号处理(第2版)》 2016年出版图书《Vivado从此开始》 2016年发布网络视频课程:跟Xilinx SAE学HLS 2020年出版图书《Vivado从此开始(进阶篇)》 自2018年创建FPGA技术分享公众号:TeacherGaoFPGAnull

    精彩内容

    目录
    第1部分 基础部分
    第1章 Tcl基础知识
    1.1 什么是Tcl
    1.2 Tcl脚本的构成
    1.3 变量赋值
    1.4 变量置换
    1.5 命令置换
    1.6 反斜线置换
    1.7 深入理解Tcl中的置换
    1.8 双引号与花括号
    1.9 注释与续行
    1.10 本章小结
    第2章 表达式
    2.1 表达式的构成要素
    2.2 算术操作符
    2.3 关系操作符
    2.4 逻辑操作符
    2.5 按位操作符
    2.6 选择操作符
    2.7 数学函数
    2.8 字符串操作
    2.9 本章小结
    第3章 字符串
    3.1 字符串的表示
    3.2 字符串的类型
    3.3 字符串的长度与索引
    3.4 字符的获取
    3.5 字符串的添加
    3.6 字符的删除
    3.7 字符的替换
    3.8 字符串的比较
    3.9 字符串的简单搜索
    3.10 字符串的匹配
    3.11 格式化输出
    3.12 与字符串相关的其他命令
    3.13 本章小结
    第4章 列表
    4.1 创建列表
    4.2 列表长度与列表索引
    4.3 获取列表元素
    4.4 添加列表元素
    4.5 删除列表元素
    4.6 替换列表元素
    4.7 搜索列表元素
    4.8 对列表元素排序
    4.9 字符串和列表之间的转换
    4.10 in和ni操作符
    4.11 foreach命令
    4.12 本章小结
    第5章 数组
    5.1 创建数组
    5.2 获取数组中的元素
    5.3 删除数组中的元素
    5.4 数组与列表之间的转换
    5.5 二维数组
    5.6 本章小结
    第6章 流程控制
    6.1 if命令
    6.2 switch命令
    6.3 while命令
    6.4 for命令
    6.5 source命令
    6.6 本章小结
    第7章 过程
    7.1 过程的构成
    7.2 过程的参数名列表
    7.3 过程的返回值
    7.4 局部变量与全局变量
    7.5 模拟引用
    7.6 数组用作参数或返回值
    7.7 upvar命令
    7.8 本章小结
    第8章 命名空间
    8.1 创建命名空间
    8.2 创建命名空间变量
    8.3 命名空间变量的作用域
    8.4 访问命名空间变量
    8.5 从命名空间导入和导出命令
    8.6 创建集合命令
    8.7 本章小结
    第9章 访问文件
    9.1 操纵文件名和目录名
    9.2 当前工作目录和目录内容
    9.3 处理磁盘上的文件
    9.4 获取文件信息
    9.5 读文件
    9.6 写文件
    9.7 处理CSV文件
    9.8 本章小结
    第2部分 应用部分
    第10章 Vivado设计流程管理
    10.1 Vivado对Tcl的支持
    10.2 理解Vivado的设计流程
    10.3 理解Vivado的两种工作模式
    10.4 Project模式
    10.5 Non-Project模式
    10.6 扫描策略
    10.7 本章小结
    第11章 Vivado设计资源管理
    11.1 管理资源要素
    11.2 管理HDL代码文件
    11.3 管理约束文件
    11.4 管理IP文件
    11.5 本章小结
    第12章 Vivado设计分析
    12.1 FPGA芯片架构中的对象
    12.2 网表中的对象
    12.3 时钟分析
    12.4 时序分析
    12.5 质量分析
    12.6 资源利用率分析
    12.7 逻辑级数分析
    12.8 复杂度与拥塞分析
    12.9 扇出分析
    12.10 UFDM分析
    12.11 跨时钟域路径分析
    12.12 约束分析
    12.13 本章小结
    第13章 Vivado设计复用
    13.1 增量编译
    13.2 复用关键寄存器位置信息
    13.3 复用Block的位置信息
    13.4 复用关键路径的布局布线信息
    13.5 本章小结
    第14章 其他
    14.1 模块化综合技术
    14.2 反复执行物理优化
    14.3 合理使用过约束
    14.4 使用IOB寄存器
    14.5 编辑网表
    14.6 修改初始值
    14.7 本章小结

    售后保障

    最近浏览

    猜你喜欢

    该商品在当前城市正在进行 促销

    注:参加抢购将不再享受其他优惠活动

    x
    您已成功将商品加入收藏夹

    查看我的收藏夹

    确定

    非常抱歉,您前期未参加预订活动,
    无法支付尾款哦!

    关闭

    抱歉,您暂无任性付资格

    此时为正式期SUPER会员专享抢购期,普通会员暂不可抢购