返回首页
苏宁会员
购物车 0
易付宝
手机苏宁

服务体验

店铺评分与同行业相比

用户评价:----

物流时效:----

售后服务:----

  • 服务承诺: 正品保障
  • 公司名称:
  • 所 在 地:
本店所有商品

  • [正版]书籍正版 敏捷硬件开发语言Chisel与数字系统设计 梁峰 电子工业出版社 工业技术 9787121434129
  • 本店商品限购一件,多拍不发货,谢谢合作
    • 作者: 无著
    • 出版社: 电子工业出版社
    送至
  • 由""直接销售和发货,并提供售后服务
  • 加入购物车 购买电子书
    服务

    看了又看

    商品预定流程:

    查看大图
    /
    ×

    苏宁商家

    商家:
    如梦图书专营店
    联系:
    • 商品

    • 服务

    • 物流

    搜索店内商品

    商品参数
    • 作者: 无著
    • 出版社:电子工业出版社
    • ISBN:9781732856399
    • 版权提供:电子工业出版社

                                                        店铺公告

    为保障消费者合理购买需求及公平交易机会,避免因非生活消费目的的购买货囤积商品,抬价转售等违法行为发生,店铺有权对异常订单不发货且不进行赔付。异常订单:包括但不限于相同用户ID批量下单,同一用户(指不同用户ID,存在相同/临近/虚构收货地址,或相同联系号码,收件人,同账户付款人等情形的)批量下单(一次性大于5本),以及其他非消费目的的交易订单。 温馨提示:请务必当着快递员面开箱验货,如发现破损,请立即拍照拒收,如验货有问题请及时联系在线客服处理,(如开箱验货时发现破损,所产生运费由我司承担,一经签收即为货物完好,如果您未开箱验货,一切损失就需要由买家承担,所以请买家一定要仔细验货), 关于退货运费:对于下单后且物流已发货货品在途的状态下,原则上均不接受退货申请,如顾客原因退货需要承担来回运费,如因产品质量问题(非破损问题)可在签收后,联系在线客服。

    基本信息
     
    书名:   敏捷硬件开发语言Chisel与数字系统设计
    作者:   梁峰
    出版社:   电子工业出版社
    出版日期:   2021-06-01
    版次:   1
    ISBN:   9787121434129
    市场价:   69.0
    目录
     
    篇 敏捷硬件开发语言Chisel

    第1章 新型敏捷硬件开发语言——Chisel和Scala

    1.1 的宿主——什么是Scala

    1.2 敏捷开发——什么是Chisel

    1.3 Scala入门——让你的代码跑起来

    1.3.1 Scala的安装方法

    1.3.2 使用Scala解释器

    1.3.3 运行Scala脚本

    1.3.4 编译非脚本文件

    1.3.5 使用IDEA开发Scala项目

    1.3.6结

    1.4 章节安排

    1.5 参考文献

    1.6 课后练习

    第2章 Chisel入门及数据类型

    2.1 Chisel开发环境的安装步骤

    2.1.1 安装步骤

    2.1.2 开发环境测试

    2.1.3 小结

    2.2 Chisel的常见问题

    2.3 Chisel的变量与数据类型

    2.3.1 数据字面量与数据类型

    2.3.2 数据宽度

    2.3.3 类型转换

    2.3.4 向量

    2.3.5 混合向量

    2.3.6裹

    2.3.7 Chisel的内建操作符

    2.3.8 位宽推断

    2.3.9 Chisel泛型

    2.4结

    2.5 课后练习

    第3章 模块与硬件类型

    3.1 Chisel是如何赋值的

    3.2 端口(IO)

    3.2.1 定义端口列表

    3.2.2 翻转端口列表的方向

    3.2.3 整体连接

    3.2.4 动态修改端口

    3.3 模块

    3.3.1 模块分类

    3.3.2 定义模块

    3.3.3 例化模块

    3.3.4 例化多个模块

    3.4 线网

    3.4.1 Wire

    3.4.2 WireDefault

    3.4.3 未驱动的线网

    3.5 寄存器

    3.5.1 Reg

    3.5.2 RegNext

    3.5.3 RegInit

    3.5.4 RegEnable

    3.5.5 ShiftRegister

    3.5.6 寄存器实例

    3.5.7 异步寄存器

    3.6 寄存器组

    3.7 用when给电路赋值

    3.8结

    3.9 参考文献

    3.10 课后练习

    第4章 Chisel常用的硬件原语

    4.1 多路选择器

    4.2 优先编码器

    4.3 仲裁器

    4.4 队列

    4.5 ROM

    4.6 RAM

    4.7 带写掩码的RAM

    4.8 从文件读取数据到RAM

    4.9 器

    4.10 线反馈移位寄存器

    4.11 状态机

    4.12结

    4.13 参考文献

    4.14 课后练习

    第5章 生成Verilog HDL代码与基本测试

    5.1 生成Verilog HDL代码

    5.1.1 execute

    5.1.2 emitVerilog

    5.2 增加参数的方法

    5.2.1 Firrtl传递参数

    5.2.2 给主函数传递参数

    5.3 编写chisel-iotesters测试

    5.4 运行chisel-iotesters测试

    5.5 使用chiseltest进行测试

    5.6结

    5.7 课后练习

    第6章 黑盒

    6.1 例化黑盒

    6.2 Verilog文件

    6.3 内联Verilog文件

    6.4 inout端口

    6.5结

    6.6 课后练习

    第7章 多时钟域设计

    7.1 没有隐式端口的模块

    7.2 定义一个时钟域和复位域

    7.2.1 withClockAndReset

    7.2.2 withClock和withReset

    7.2.3 复位信号的三种类型

    7.3 使用时钟负沿和低有效的复位信号

    7.4 示例:异步FIFO

    7.5结

    7.6 参考文献

    7.7 课后练习

    第8章 函数的应用

    8.1 用函数抽象组合逻辑

    8.2 用工厂方法简化模块的例化

    8.3 用Scala的函数简化代码

    8.4 Chisel的打印函数

    8.4.1 Scala风格

    8.4.2 C风格

    ……



    内容介绍
     
    从20世纪90年代开始,利用硬件描述语言和综合技术设计实现复杂数字系统的方法已经在集成电路设计领域得到普及。随着集成电路集成度的不断提高,传统硬件描述语言和设计方法的开发效率低下的问题越来越明显来逐渐崭露头角的敏捷化设计方法将把集成电路设计带入一个新的阶段。与此同时,集成电路设计也需要一种适应敏捷化设计方法的新型硬件开发语言。本书从实用和出发,较地介绍新型硬件开发语言Chisel和数字系统敏捷化设计方法。全书分两篇。篇共10章,主要内括Chisel语言简介、Chisel的数据类型、Chisel的模块与硬件类型、Chisel常用的硬件原语、如何将Chisel代码转换生成Verilog HDL代码及基本测试方法、Chisel的黑盒、用Chisel实现多时钟域设计、Chisel的函数应用及其他议题等。篇共9章,介绍编写Chisel需要掌握的Scala语言编程基础知识。读者可以根据自身情况,跳过篇Scala基础部分,直接学习Chisel的硬件开能。本书提供配套的课后练习参考答案、程序代码等。本书可作为集成电路科学与工程、电子信息类、计算机类等相关专业的高年级本科生及研究生的教学用书,也可供从事集成电路设计的工程人员和EDA专业人员学习、参考。
    在线试读
     

    在今天众多的编程语言中,Java常常是软件的语言。而能让Java之父给出如此评价的 Scala,想必有其吸引人之处。那么,Seala究竟是一门什么样的语言呢?

    Scala 是-门基于JVM (Java Virtual Machine,Java 虚拟机)运行的语言,并且兼容现有的Java程序,在设计之初考虑了与Java的无缝衔接。Scala代码不需要任何特殊的语法、显式的接口描述,可以直接调用Java方法、访问Java字段、从Java类继承、实现Java接口。 Java 代码也可以调用Seala 代码,不过由于Seala的语义比 Java更为丰富,因此有些更为先进的Scala 特映射在Java前需要先被编码。但是Scala 设计者的目的是创造一门比Java更好用、更、更的语言。从运行机制上讲,Scala会被编译成与Java一样的字节码,交由JVM运行,所以其运行时的速度通常与Java程序不分上下。从实用来看,它的形式比Java简洁得多,语能更加强大,代码量往往比相能的Java少得多。

    Scala是一门面向对象的函数式语言。时今日,面向对象已经成为大多数编程语言都支持的主要特。但另一方面,Scala没有选择更多人熟悉的指令式编程风格,而是选择了更为

    小众的函数式编程理念。对于熟悉C/C++、Java、Python等语言的读者来说,可能从未接触过函数式编程。但只需要基本的学习,读者便能掌握基本的函数式编程,并会逐步发现函数式编程的妙处。Scala提倡使用者使用函数式编程,但也预留了指令式编程的余地。

    正如它名字取自的“Scalable”一样,这也是一门可以自由伸缩的语言:既能裁剪已有的类库,又能扩展自定义类库;既能用于编写一个简单的脚本,又足以胜任复杂、庞大的软件系程任务。Scala的语法比Python更为简洁,抽象能力也比C++更为,因此,Scala的学习曲线并非平滑的,而是阶梯状的。也正因此,如果读者能耐心学习Scala,并逐步掌握它提供的语法,深入理解其编程理念,会发现这是一种让你爱不释手、相见恨晚的编程语言。

    Scala大的优势是其各种语法便利造的强大伸缩,进而成为一种的宿主语言。换句话说,可以方便地利用自定义Scala类库,快速开发出“新”语言,专门用于某一特殊用途。

    1.2 敏捷开发——什么是 Chisel

    对每个数字电路工程师而言,Verilog HDL(Verilog Hardware Description Language,Verilog硬件描述语言)是再熟悉不过的了。然而,Verilog HDL是C语言时代的产物,现如今,其开……

    媒体评论
     

    1
    • 商品详情
    • 内容简介

    售后保障

    最近浏览

    猜你喜欢

    该商品在当前城市正在进行 促销

    注:参加抢购将不再享受其他优惠活动

    x
    您已成功将商品加入收藏夹

    查看我的收藏夹

    确定

    非常抱歉,您前期未参加预订活动,
    无法支付尾款哦!

    关闭

    抱歉,您暂无任性付资格

    此时为正式期SUPER会员专享抢购期,普通会员暂不可抢购